[FPGA]

[Altera] Quartus에서 .sof 가 generation이 안될 때(not generation sof file)

Neo Park 2014. 7. 24. 10:03

 

가끔.. 어떤 deivce를 compile하다 보면 compilation이 끝났음에도 *.sof 파일이 만들어 지지 않는 경우가 있다.

이런 경우는 주로 quartus web version에 발생하는데 이유는 간단하다.

해당 device가 licensing되어 있지 않았기 때문이다.

web version은 subset device만 제공한다. 즉, 전체 FPGA device를 compile할 수 있는 것이 아니라

제한적인 device에 대해서만 compile이 가능하다는 얘기다.

 

You may want to check for any errors/warnings in the Compilation Report Assembler section
You can view this with the Processing Menu->Compilation Report
Expand the Assembler section and click on messages.
A Sof file should always be produced and will be in the top level of your project directory.
Just another thought....
Have you made sure that the device you have selected is installed in your version of Quartus.
For example, Web Edition only supports a subset of devices although it may allow compilation for others but will not produce an output file.